FAQ   Szukaj   Użytkownicy   Grupy   Galerie   Rejestracja   Profil   Zaloguj się, by sprawdzić wiadomości   Zaloguj     
Znaleziono 12 wyników
Forum Informatyka UZ Strona Główna
Autor Wiadomość
  Temat: wegrzyn - kiedy wyniki?
natip

Odpowiedzi: 5
Wyświetleń: 1419

PostForum:  Tablica ogłoszeń   Wysłany: Pon 20:26, 09 Lut 2009   Temat: wegrzyn - kiedy wyniki?
No to Mareczek zaszalał Smile A kto jest tym szczęśliwcem ?
  Temat: wegrzyn - kiedy wyniki?
natip

Odpowiedzi: 5
Wyświetleń: 1419

PostForum:  Tablica ogłoszeń   Wysłany: Pon 15:09, 09 Lut 2009   Temat: wegrzyn - kiedy wyniki?
Dzisiaj o 17.
  Temat: Terminy Egzaminów
natip

Odpowiedzi: 8
Wyświetleń: 1512

PostForum:  Tablica ogłoszeń   Wysłany: Czw 22:59, 29 Sty 2009   Temat: Terminy Egzaminów
I trzeba mieć ze sobą indeks, jako dowód tożsamości...
  Temat: Języki opisu sprzętu [L]
natip

Odpowiedzi: 3
Wyświetleń: 1311

PostForum: Materiały   Wysłany: Sob 20:20, 17 Sty 2009   Temat: Języki opisu sprzętu [L]
I prawdopodobnie jeszcze kilka przydatnych rzeczy:

http://img172.imageshack.us/img172/6308/img1386tq2.th.jpghttp://img166.imageshack.us/img166/3591/img1387rv2.th.jpghttp://img108.imageshack.us/img1 ...
  Temat: Języki opisu sprzętu [L]
natip

Odpowiedzi: 3
Wyświetleń: 1311

PostForum: Materiały   Wysłany: Sob 20:07, 17 Sty 2009   Temat: Języki opisu sprzętu [L]
LISTA 8: (UWAGA! nie sprawdzone przebiegi, wiec moga byc jakies bledy drobne)

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity lab8_zad1 is
port (
x : in STd_logic;
clk : in STd_logic ...
  Temat: Języki opisu sprzętu [L]
natip

Odpowiedzi: 3
Wyświetleń: 1311

PostForum: Materiały   Wysłany: Sob 19:49, 17 Sty 2009   Temat: Języki opisu sprzętu [L]
Nasze rozwiązania listy 7 :

zad.4
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity lab7_zad4 is
port(
clk : in STD_LOGIC;
set : in STD_LOGIC;
clr : in STD_LOGIC;
r : buffer S ...
  Temat: Prace dyplomowe !
natip

Odpowiedzi: 0
Wyświetleń: 1335

PostForum:  Tablica ogłoszeń   Wysłany: Czw 9:46, 18 Gru 2008   Temat: Prace dyplomowe !
Zawitał dyrektor Instytutu Informatyki i Elektroniki - dr Piotr Mróz i poinformował, że w styczniu będzie do wyboru lista prac dyplomowych. Wybieramy promotora (z instytutu IIE, bo do tego przypisane ...
  Temat: [Języki opisu sprzętu - L] - 20.11.08
natip

Odpowiedzi: 0
Wyświetleń: 1272

PostForum:  Tablica ogłoszeń   Wysłany: Wto 9:33, 18 Lis 2008   Temat: [Języki opisu sprzętu - L] - 20.11.08
"Dzień dobry,
w tym tygodniu zajęcia nie odbędą się, natomiast za tydzień zgodnie z planem.

Pozdrawiam,
R. Wisniewski"
  Temat: [TE] pytania z wejściówki
natip

Odpowiedzi: 5
Wyświetleń: 1713

PostForum: Materiały   Wysłany: Pon 18:40, 17 Lis 2008   Temat: [TE] pytania z wejściówki
Grupa 3 (Analiza dokł. wyniku pomiaru):

1. Opisać niepewność całkowitą typu A.
2. Podać kilka przykładów zapisu wyniku pomiaru.
  Temat: [E-Biznes] - wykład 24.10.2008
natip

Odpowiedzi: 1
Wyświetleń: 1320

PostForum:  Tablica ogłoszeń   Wysłany: Śro 14:52, 22 Paź 2008   Temat: [E-Biznes] - wykład 24.10.2008
Wykładu 24.10.2008 nie będzie Smile Następny wg planu - dopiero za 2 tygodnie.
  Temat: Technika Eksperymentu
natip

Odpowiedzi: 4
Wyświetleń: 1503

PostForum:  Tablica ogłoszeń   Wysłany: Nie 22:42, 05 Paź 2008   Temat: Technika Eksperymentu
I co z tego, że na emeryturze, jak i tak widnieje w planie... :/
  Temat: 321 IDZ - MSDN
natip

Odpowiedzi: 0
Wyświetleń: 1305

PostForum:  Tablica ogłoszeń   Wysłany: Pią 15:36, 03 Paź 2008   Temat: 321 IDZ - MSDN
Lista z nr indeksów została wysłana, więc lada dzień na mail uczelniany przyjdzie aktywacja.
 
Strona 1 z 1
Wszystkie czasy w strefie CET (Europa)
Skocz do:  


fora.pl - załóż własne forum dyskusyjne za darmo
     Informatyka UZ: Forum Informatyki na UZ     
Powered by phpBB © 2001, 2002 phpBB Group   c3s Theme © Zarron Media
Regulamin