FAQ   Szukaj   Użytkownicy   Grupy   Galerie   Rejestracja   Profil   Zaloguj się, by sprawdzić wiadomości   Zaloguj     
Języki opisu sprzętu [L]

 
Napisz nowy temat   Ten temat jest zablokowany bez możliwości zmiany postów lub pisania odpowiedzi    Forum Informatyka UZ Strona Główna :: Inżynieria Komputerowa / Materiały
Zobacz poprzedni temat :: Zobacz następny temat  
Autor Wiadomość
Tomhet




Dołączył: 08 Paź 2006
Posty: 218
Przeczytał: 0 tematów

Ostrzeżeń: 0/5
Skąd: z teczki SB

PostWysłany: Pią 13:36, 16 Sty 2009    Temat postu: Języki opisu sprzętu [L]

macie jakies materialy, z ktorych mozna sie pouczyc na czwartkowe zabawy z wisniewskim?
Powrót do góry
Zobacz profil autora
natip
Administrator



Dołączył: 09 Paź 2006
Posty: 210
Przeczytał: 0 tematów

Ostrzeżeń: 0/5
Skąd: zg

PostWysłany: Sob 19:49, 17 Sty 2009    Temat postu:

Nasze rozwiązania listy 7 :

zad.4
library IEEE;
use IEEE.STD_LOGIC_1164.all;
entity lab7_zad4 is
port(
clk : in STD_LOGIC;
set : in STD_LOGIC;
clr : in STD_LOGIC;
r : buffer STD_LOGIC;
y : buffer STD_LOGIC;
g : buffer STD_LOGIC
);
end lab7_zad4;

--}} End of automatically maintained section

architecture lab7_zad4 of lab7_zad4 is
begin
process(clr,set,clk)
begin
if clr='1' then r<='1';
y<='0';
g<='0';
else if set='1' then
r<='0';
y<='0';
g<='1';
else if clk 'EVENT then
if g='1' then
r<='0';
y<='1';
g<='0';
end if;
if y='1' then
r<='1';
y<='0';
g<='0';
end if;
if r='1' then
r<='1';
y<='1';
g<='0';
end if;
if r='1' and y='1' then
r<='0';
y<='0';
g<='1';

end if;
end if;
end if;
end if;
end process;
end lab7_zad4;

zad.3 i zad.2

library IEEE;
use IEEE.STD_LOGIC_1164.all;
ENTITY licznik_N IS
GENERIC (N:integer := 4);

PORT (CLK: in STD_LOGIC;
CLR: in STD_LOGIC;
OE, LOAD, DIR: in STD_LOGIC;
DATA: in INTEGER range 0 to N;
Q: out INTEGER range 0 to N
);
END licznik_N;

--}} End of automatically maintained section

architecture lab7_zad of licznik_N is
begin


process (CLK, CLR)


variable licznik: INTEGER range 0 to N;
begin
if CLR='1' then
licznik := 0;
elseif falling_edge(CLK) then
if LOAD='1' then
licznik := DATA;
else
if OE='1' then
if DIR='1' then
if licznik = N then
licznik := 0;
else
licznik := licznik + 1;
end if;
else
if licznik = 0 then
licznik := N;
else
licznik := licznik - 1;
end if;
end if;
end if;
end if;
end if;
Q <= licznik;
end process;


end lab7_zad;

zad.1

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity lab7zad1 is

-- multiplekser 4 na 1
--wejscia informacyjne: i0, i1, i2, i3, wejscie adresowe jako wektor dwubitowy (dwa wejscia informacyjne)

port(
i0 : in STD_LOGIC;
i1 : in STD_LOGIC;
i2 : in STD_LOGIC;
i3 : in STD_LOGIC;
adr : STD_LOGIC_VECTOR(0 to 1);

y : out STD_LOGIC
);
end lab7zad1;

--}} End of automatically maintained section

architecture lab7zad1 of lab7zad1 is
begin

process (adr, i0, i1, i2, i3)
begin
case adr is
when "00" => y <= i0;
when "01" => y <= i1;
when "10" => y <= i2;
when "11" => y <= i3;
when others => y <= '-';
end case;
end process;


end lab7zad1;
Powrót do góry
Zobacz profil autora
natip
Administrator



Dołączył: 09 Paź 2006
Posty: 210
Przeczytał: 0 tematów

Ostrzeżeń: 0/5
Skąd: zg

PostWysłany: Sob 20:07, 17 Sty 2009    Temat postu:

LISTA 8: (UWAGA! nie sprawdzone przebiegi, wiec moga byc jakies bledy drobne)

library IEEE;
use IEEE.STD_LOGIC_1164.all;

entity lab8_zad1 is
port (
x : in STd_logic;
clk : in STd_logic;
reset : in STd_logic;
y : out STd_logic --:='0'
);
end lab8_zad1;


architecture lab8_zad1 of lab8_zad1 is

type state_type is (s0, s1, s2, s3);

signal state, next_state : state_type;
begin
process(state) is
begin
if reset='1' then
state <= s0;
elsif rising_edge(clk) then
state <= next_state;
end if;
end process;

process (state,x) is
begin
case state is

when s0 => if x='1' then next_state <=s0;
else next_state <= s1;
end if;
when s1 => if x='1' then next_state <=s2;
else next_state <= s1;
end if;
when s2 => if x='1' then next_state <=s3;
else next_state <=s1;
end if;
when s3 => y <='1'; next_state <=s0;

end case;
end process;

end;
Powrót do góry
Zobacz profil autora
natip
Administrator



Dołączył: 09 Paź 2006
Posty: 210
Przeczytał: 0 tematów

Ostrzeżeń: 0/5
Skąd: zg

PostWysłany: Sob 20:20, 17 Sty 2009    Temat postu:

I prawdopodobnie jeszcze kilka przydatnych rzeczy:

[link widoczny dla zalogowanych]

[link widoczny dla zalogowanych]

[link widoczny dla zalogowanych]

[link widoczny dla zalogowanych]

[link widoczny dla zalogowanych]

[link widoczny dla zalogowanych]


Ostatnio zmieniony przez natip dnia Sob 20:25, 17 Sty 2009, w całości zmieniany 1 raz
Powrót do góry
Zobacz profil autora
Wyświetl posty z ostatnich:   
Napisz nowy temat   Ten temat jest zablokowany bez możliwości zmiany postów lub pisania odpowiedzi    Forum Informatyka UZ Strona Główna :: Inżynieria Komputerowa / Materiały Wszystkie czasy w strefie CET (Europa)
Strona 1 z 1

 
Skocz do:  
Nie możesz pisać nowych tematów
Nie możesz odpowiadać w tematach
Nie możesz zmieniać swoich postów
Nie możesz usuwać swoich postów
Nie możesz głosować w ankietach


fora.pl - załóż własne forum dyskusyjne za darmo
     Informatyka UZ: Forum Informatyki na UZ     
Powered by phpBB © 2001, 2002 phpBB Group   c3s Theme © Zarron Media
Regulamin